aboutsummaryrefslogtreecommitdiffstats
path: root/testbench/simulate_isim.prj
diff options
context:
space:
mode:
authorbryan newbold <bnewbold@leaflabs.com>2013-04-02 10:25:15 -0400
committerbryan newbold <bnewbold@leaflabs.com>2013-04-02 10:25:15 -0400
commit8bf83ef0145d2afe53d4d94f5ff5a4459fbc6637 (patch)
tree4b825dc642cb6eb9a060e54bf8d69288fbee4904 /testbench/simulate_isim.prj
parent0754c0f771c51d48107c5c96d79a512ce56cce0a (diff)
downloadfpga-lube-8bf83ef0145d2afe53d4d94f5ff5a4459fbc6637.tar.gz
fpga-lube-8bf83ef0145d2afe53d4d94f5ff5a4459fbc6637.zip
commit old git move
Diffstat (limited to 'testbench/simulate_isim.prj')
-rw-r--r--testbench/simulate_isim.prj3
1 files changed, 0 insertions, 3 deletions
diff --git a/testbench/simulate_isim.prj b/testbench/simulate_isim.prj
deleted file mode 100644
index e610cc5..0000000
--- a/testbench/simulate_isim.prj
+++ /dev/null
@@ -1,3 +0,0 @@
-verilog unenclib ../hdl/project.v
-verilog unenclib tb.v
-verilog unenclib /opt/Xilinx/14.3/ISE_DS/ISE/verilog/src/glbl.v