diff options
author | bryan newbold <bnewbold@leaflabs.com> | 2013-04-02 10:25:15 -0400 |
---|---|---|
committer | bryan newbold <bnewbold@leaflabs.com> | 2013-04-02 10:25:15 -0400 |
commit | 8bf83ef0145d2afe53d4d94f5ff5a4459fbc6637 (patch) | |
tree | 4b825dc642cb6eb9a060e54bf8d69288fbee4904 /testbench/tb.v | |
parent | 0754c0f771c51d48107c5c96d79a512ce56cce0a (diff) | |
download | fpga-lube-8bf83ef0145d2afe53d4d94f5ff5a4459fbc6637.tar.gz fpga-lube-8bf83ef0145d2afe53d4d94f5ff5a4459fbc6637.zip |
commit old git move
Diffstat (limited to 'testbench/tb.v')
-rwxr-xr-x | testbench/tb.v | 45 |
1 files changed, 0 insertions, 45 deletions
diff --git a/testbench/tb.v b/testbench/tb.v deleted file mode 100755 index 19e07bf..0000000 --- a/testbench/tb.v +++ /dev/null @@ -1,45 +0,0 @@ -`timescale 1ps/1ps -module tb; - - reg CLK100; -always @(CLK100) begin - #4980.00 CLK100 <= ~CLK100; -end - -initial begin - #0 CLK100 <= 1'b0; // the first event that sets the clock in motion -end - - -reg [7:0] Switch_input; -wire [7:0] LED_output; -wire FPGA_RESET; - -project project_i ( - .PUSH_BUTTON_RESET_RAW(FPGA_RESET), - .LED_output_0(LED_output[0]), - .LED_output_1(LED_output[1]), - .LED_output_2(LED_output[2]), - .LED_output_3(LED_output[3]), - .LED_output_4(LED_output[4]), - .LED_output_5(LED_output[5]), - .LED_output_6(LED_output[6]), - .SYSTEMCLOCK(CLK100), - .Switch_input_0(Switch_input[0]), - .Switch_input_1(Switch_input[1]), - .Switch_input_2(Switch_input[2]), - .Switch_input_3(Switch_input[3]) - ); - - -initial begin - #0 Switch_input <= 8'h00; - $display("Switch set to zero"); - #1000000 Switch_input <= 8'h01; - $display("Switch set to one"); - #2000000 - $display("TEST COMPLETE"); - $finish(); -end - -endmodule |