aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorbryan newbold <bnewbold@leaflabs.com>2013-03-20 13:30:12 -0400
committerbryan newbold <bnewbold@leaflabs.com>2013-03-20 13:30:12 -0400
commitf51040dfc4f8581469997d43f1e723e0fedc1338 (patch)
tree38c8544a176c75297398c2186280a6a383999dc3
parent485eb6746b4d9a797f11bfaccf5c4331dc3f9718 (diff)
downloadbasic-hdl-template-f51040dfc4f8581469997d43f1e723e0fedc1338.tar.gz
basic-hdl-template-f51040dfc4f8581469997d43f1e723e0fedc1338.zip
fix 'main' in tb.v
-rwxr-xr-xtb/tb.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/tb/tb.v b/tb/tb.v
index 19e07bf..ac63d61 100755
--- a/tb/tb.v
+++ b/tb/tb.v
@@ -15,7 +15,7 @@ reg [7:0] Switch_input;
wire [7:0] LED_output;
wire FPGA_RESET;
-project project_i (
+main main_i (
.PUSH_BUTTON_RESET_RAW(FPGA_RESET),
.LED_output_0(LED_output[0]),
.LED_output_1(LED_output[1]),