aboutsummaryrefslogtreecommitdiffstats
path: root/libmaple/timers.c
blob: 6fa28485fdf1b54e4c875a2d19a4ffc5b260bc5f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
/* *****************************************************************************
 * The MIT License
 *
 * Copyright (c) 2010 Perry Hung.
 *
 * Permission is hereby granted, free of charge, to any person obtaining a copy
 * of this software and associated documentation files (the "Software"), to deal
 * in the Software without restriction, including without limitation the rights
 * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
 * copies of the Software, and to permit persons to whom the Software is
 * furnished to do so, subject to the following conditions:
 *
 * The above copyright notice and this permission notice shall be included in
 * all copies or substantial portions of the Software.
 *
 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
 * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
 * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
 * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
 * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
 * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
 * THE SOFTWARE.
 * ****************************************************************************/

/**
 *  @file timers.c
 *
 *  @brief General timer routines
 */

// TODO: actually support timer5 and timer8

#include "libmaple.h"
#include "rcc.h"
#include "nvic.h"
#include "timers.h"

typedef struct {
    volatile uint16 CR1;
    uint16  RESERVED0;
    volatile uint16 CR2;
    uint16  RESERVED1;
    volatile uint16 SMCR;
    uint16  RESERVED2;
    volatile uint16 DIER;
    uint16  RESERVED3;
    volatile uint16 SR;
    uint16  RESERVED4;
    volatile uint16 EGR;
    uint16  RESERVED5;
    volatile uint16 CCMR1;
    uint16  RESERVED6;
    volatile uint16 CCMR2;
    uint16  RESERVED7;
    volatile uint16 CCER;
    uint16  RESERVED8;
    volatile uint16 CNT;
    uint16  RESERVED9;
    volatile uint16 PSC;
    uint16  RESERVED10;
    volatile uint16 ARR;
    uint16  RESERVED11;
    volatile uint16 RCR;
    uint16  RESERVED12;
    volatile uint16 CCR1;
    uint16  RESERVED13;
    volatile uint16 CCR2;
    uint16  RESERVED14;
    volatile uint16 CCR3;
    uint16  RESERVED15;
    volatile uint16 CCR4;
    uint16  RESERVED16;
    volatile uint16 BDTR;   // Not used in general purpose timers
    uint16  RESERVED17;     // Not used in general purpose timers
    volatile uint16 DCR;
    uint16  RESERVED18;
    volatile uint16 DMAR;
    uint16  RESERVED19;
} Timer;

// These are the output compare interrupt functions that get called by the
// handlers below
volatile static voidFuncPtr timer1_handlers[4];
volatile static voidFuncPtr timer2_handlers[4];
volatile static voidFuncPtr timer3_handlers[4];
volatile static voidFuncPtr timer4_handlers[4];
#if NR_TIMERS >= 8
volatile static voidFuncPtr timer5_handlers[4]; // High-density devices only
volatile static voidFuncPtr timer8_handlers[4]; // High-density devices only
#endif

// This function should probably be rewriten to take (timer_num, mode) and have
// prescaler set elsewhere. The mode can be passed through to set_mode at the 
// end
void timer_init(uint8 timer_num, uint16 prescale) {
    
    Timer *timer; uint8 is_advanced = 0;

    ASSERT(timer_num > 0 && timer_num <= 4);

    switch(timer_num) {
    case 1:
        timer = (Timer*)TIMER1_BASE;
        rcc_clk_enable(RCC_TIMER1);
        is_advanced = 1;
        break;
    case 2:
        timer = (Timer*)TIMER2_BASE;
        rcc_clk_enable(RCC_TIMER2);
        break;
    case 3:
        timer = (Timer*)TIMER3_BASE;
        rcc_clk_enable(RCC_TIMER3);
        break;
    case 4:
        timer = (Timer*)TIMER4_BASE;
        rcc_clk_enable(RCC_TIMER4);
        break;
    #if NR_TIMERS >= 8
    case 5:
        timer = (Timer*)TIMER5_BASE;
        rcc_clk_enable(RCC_TIMER5);
        break;
    case 8:
        timer = (Timer*)TIMER8_BASE;
        rcc_clk_enable(RCC_TIMER8);
        is_advanced = 1;
        break;
    #endif
    default:
        ASSERT(0);
        return;
    }

    timer->CR1 = ARPE;        // No clock division
                              // Do not buffer auto-reload preload
                              // Edge aligned
                              // Upcounter
                              // Do not stop counter at update event
                              // Update events enabled (etc, see bits [1:2])
                              // Counter disabled for now

    timer->PSC = prescale;    // Prescaling by prescale (duh)
    timer->ARR = 0xFFFF;      // Max reload cont

    /* initialize all the channels to 50% duty cycle,
     * TODO: none of them actually get output unless the gpio pin
     * is set, this will probably consume a bit more power but
     * we'll worry about that later. */
    timer->CCR1   = 0x8FFF;     // PWM start value
    timer->CCMR1 |= 0x68;       // PWM mode 1, enable preload register.
    timer->CCER  |= 0x001;      // enable ch

    timer->CCR2   = 0x8FFF;     // PWM start value
    timer->CCMR1 |= (0x68 << 8);// PWM mode 1, enable preload register.
    timer->CCER  |= 0x010;      // enable ch

    timer->CCR3   = 0x8FFF;     // PWM start value
    timer->CCMR2 |= 0x68;       // PWM mode 1, enable preload register.
    timer->CCER  |= 0x100;      // enable ch

    timer->CCR4   = 0x8FFF;     // PWM start value
    timer->CCMR2 |= (0x68 << 8);// PWM mode 1, enable preload register.
    timer->CCER  |= 0x1000;      // enable ch

    /* Advanced timer?  */
    if (is_advanced) {
        timer->BDTR = 0x8000;     // moe enable
    }

    timer->SR = 0;            // clear it
    timer->DIER = 0;          // disable update interrupt
    timer->EGR  = 1;          // Initialize update event and shadow registers
    timer->CR1  |= 1;         // Enable timer
}

// Stops the counter; the mode and settings are not modified
void timer_pause(uint8 timer_num) { 
    Timer *timer;
    ASSERT(timer_num > 0 && timer_num <= 4);

    switch(timer_num) {
    case 1:
        timer = (Timer*)TIMER1_BASE;
        break;
    case 2:
        timer = (Timer*)TIMER2_BASE;
        break;
    case 3:
        timer = (Timer*)TIMER3_BASE;
        break;
    case 4:
        timer = (Timer*)TIMER4_BASE;
        break;
    default:
        ASSERT(0);
        return;
    }
    timer->CR1 &= ~(0x0001);    // CEN
}

// Starts the counter; the mode and settings are not modified
void timer_resume(uint8 timer_num) { 
    Timer *timer;
    ASSERT(timer_num > 0 && timer_num <= 4);

    switch(timer_num) {
    case 1:
        timer = (Timer*)TIMER1_BASE;
        break;
    case 2:
        timer = (Timer*)TIMER2_BASE;
        break;
    case 3:
        timer = (Timer*)TIMER3_BASE;
        break;
    case 4:
        timer = (Timer*)TIMER4_BASE;
        break;
    default:
        ASSERT(0);
        return;
    }
    timer->CR1 |= 0x0001;    // CEN
}

// This function sets the counter value via register for the specified timer.
// Can't think of specific usecases except for resetting to zero but it's easy
// to implement and allows for "creative" programming
void timer_set_count(uint8 timer_num, uint16 value) { 
Timer *timer;
ASSERT(timer_num > 0 && timer_num <= 4);

    switch(timer_num) {
    case 1:
        timer = (Timer*)TIMER1_BASE;
        break;
    case 2:
        timer = (Timer*)TIMER2_BASE;
        break;
    case 3:
        timer = (Timer*)TIMER3_BASE;
        break;
    case 4:
        timer = (Timer*)TIMER4_BASE;
        break;
    default:
        ASSERT(0);
        return;
    }
    timer->CNT = value;
}

// Returns the current timer counter value. Probably very inaccurate if the
// counter is running with a low prescaler.
uint16 timer_get_count(uint8 timer_num) {
    Timer *timer;
    ASSERT(timer_num > 0 && timer_num <= 4);

    switch(timer_num) {
    case 1:
        timer = (Timer*)TIMER1_BASE;
        break;
    case 2:
        timer = (Timer*)TIMER2_BASE;
        break;
    case 3:
        timer = (Timer*)TIMER3_BASE;
        break;
    case 4:
        timer = (Timer*)TIMER4_BASE;
        break;
    default:
        ASSERT(0);
        return;
    }
    return timer->CNT;
}

// Does what it says
void timer_set_prescaler(uint8 timer_num, uint16 prescale) {
    Timer *timer;
    ASSERT(timer_num > 0 && timer_num <= 4);

    switch(timer_num) {
    case 1:
        timer = (Timer*)TIMER1_BASE;
        break;
    case 2:
        timer = (Timer*)TIMER2_BASE;
        break;
    case 3:
        timer = (Timer*)TIMER3_BASE;
        break;
    case 4:
        timer = (Timer*)TIMER4_BASE;
        break;
    default:
        ASSERT(0);
        return;
    }
    timer->PSC = prescale;
}

// This sets the "reload" or "overflow" value for the entire timer. We should
// probably settle on either "reload" or "overflow" to prevent confusion?
void timer_set_reload(uint8 timer_num, uint16 max_reload) {
    Timer *timer;
    ASSERT(timer_num > 0 && timer_num <= 4);

    switch(timer_num) {
    case 1:
        timer = (Timer*)TIMER1_BASE;
        break;
    case 2:
        timer = (Timer*)TIMER2_BASE;
        break;
    case 3:
        timer = (Timer*)TIMER3_BASE;
        break;
    case 4:
        timer = (Timer*)TIMER4_BASE;
        break;
    default:
        ASSERT(0);
        return;
    }
    timer->ARR = max_reload;
}

// This quickly disables all 4 timers, presumably as part of a system shutdown
// or similar to prevent interrupts and PWM output without 16 seperate function
// calls to timer_set_mode
void timer_disable_all(void) {
    // Note: this must be very robust because it gets called from, eg, ASSERT
    Timer *timer; 
    Timer *timers[4] = { (Timer*)TIMER1_BASE,
                         (Timer*)TIMER2_BASE, 
                         (Timer*)TIMER3_BASE, 
                         (Timer*)TIMER4_BASE, 
                       }; 
    int i;

    for (i = 0; i < 4; i++) { 
        timer = timers[i]; 
        timer->CR1 = 0; 
        timer->CCER = 0; 
    } 
}

// Sets the mode of individual timer channels, including a DISABLE mode
void timer_set_mode(uint8 timer_num, uint8 channel, uint8 mode) {
    Timer *timer;
    switch (timer_num) {
    case 1:
        timer = (Timer*)TIMER1_BASE;
        break;
    case 2:
        timer = (Timer*)TIMER2_BASE;
        break;
    case 3:
        timer = (Timer*)TIMER3_BASE;
        break;
    case 4:
        timer = (Timer*)TIMER4_BASE;
        break;
    default:
        ASSERT(0);
    }
    switch(mode) {
    case TIMER_DISABLED:
        // Disable the channel
        // Disable any interrupt
        // Clear interrupt SR? (TODO)
        switch (channel) {
        case 1:
            timer->DIER &= ~(1 << channel); // 1-indexed compare nums
            timer->CCER &= ~(0x1);
            timer_detach_interrupt(timer_num, channel);
            break;
        case 2:
            timer->DIER &= ~(1 << channel); // 1-indexed compare nums
            timer->CCER &= ~(0x10);
            timer_detach_interrupt(timer_num, channel);
            break;
        case 3:
            timer->DIER &= ~(1 << channel); // 1-indexed compare nums
            timer->CCER &= ~(0x100);
            timer_detach_interrupt(timer_num, channel);
            break;
        case 4:
            timer->DIER &= ~(1 << channel); // 1-indexed compare nums
            timer->CCER &= ~(0x1000);
            timer_detach_interrupt(timer_num, channel);
            break;
        default:
            ASSERT(0);
        }
        break;
    case TIMER_PWM:
        // Set CCMR mode
        // Keep existing reload value
        // Disable any interrupt
        // Clear interrupt SR? (TODO)
        // Enable channel
        switch (channel) {
        case 1:
            timer->DIER &= ~(1 << channel); // 1-indexed compare nums
            timer->CCMR1 &= ~(0xFF);    
            timer->CCMR1 |= 0x68;       // PWM mode 1, enable preload register.
            timer->CCER  |= 0x0001;     // enable ch
            break;
        case 2:
            timer->DIER &= ~(1 << channel); // 1-indexed compare nums
            timer->CCMR1 &= ~(0xFF00);    
            timer->CCMR1 |= (0x68 << 8);// PWM mode 1, enable preload register.
            timer->CCER  |= 0x0010;     // enable ch
            break;
        case 3:
            timer->DIER &= ~(1 << channel); // 1-indexed compare nums
            timer->CCMR2 &= ~(0xFF);    
            timer->CCMR2 |= 0x68;       // PWM mode 1, enable preload register.
            timer->CCER  |= 0x0100;     // enable ch
            break;
        case 4:
            timer->DIER &= ~(1 << channel); // 1-indexed compare nums
            timer->CCMR2 &= ~(0xFF00);    
            timer->CCMR2 |= (0x68 << 8);// PWM mode 1, enable preload register.
            timer->CCER  |= 0x1000;     // enable ch
            break;
        default:
            ASSERT(0);
        }
    case TIMER_OUTPUTCOMPARE:
        // Set CCMR mode
        // Keep existing reload value
        // Don't modify interrupt (needs to be attached to enable)
        // Clear interrupt SR? (TODO)
        // Enable channel
        switch (channel) {
        case 1:
            timer->CCMR1 &= ~(0xFF);    
            timer->CCMR1 |= 0x0010;       // PWM mode 1, enable preload register.
            timer->CCER  |= 0x001;        // enable ch
            break;
        case 2:
            timer->CCMR1 &= ~(0xFF00);    
            timer->CCMR1 |= 0x1000;       // PWM mode 1, enable preload register.
            timer->CCER  |= 0x0010;       // enable ch
            break;
        case 3:
            timer->CCMR2 &= ~(0xFF);    
            timer->CCMR2 |= 0x0010;       // PWM mode 1, enable preload register.
            timer->CCER  |= 0x0100;       // enable ch
            break;
        case 4:
            timer->CCMR2 &= ~(0xFF00);    
            timer->CCMR2 |= 0x1000;       // PWM mode 1, enable preload register.
            timer->CCER  |= 0x1000;       // enable ch
            break;
        default:
            ASSERT(0);
        }
        break;
    default:
        ASSERT(0);
    }
}

// This sets the compare value (aka the trigger) for a given timer channel
void timer_set_compare_value(uint8 timer_num, uint8 compare_num, uint16 value) {
    // The faster version of this function is the inline timer_pwm_write_ccr
    
    Timer *timer; TimerCCR *timer_ccr; 
    ASSERT(timer_num <= 4 && timer_num > 0 && 
        compare_num > 0 && compare_num <= 4);

    switch(timer_num) {
    case 1:
        timer = (Timer*)TIMER1_BASE;
        break;
    case 2:
        timer = (Timer*)TIMER2_BASE;
        break;
    case 3:
        timer = (Timer*)TIMER3_BASE;
        break;
    case 4:
        timer = (Timer*)TIMER4_BASE;
        break;
    }
    switch(compare_num) {
    case 1:
        timer->CCR1 = value;
        break;
    case 2:
        timer->CCR2 = value;
        break;
    case 3:
        timer->CCR3 = value;
        break;
    case 4:
        timer->CCR4 = value;
        break;
    }
}

// Stores a pointer to the passed usercode interrupt function and configures
// the actual ISR so that it will actually be called
void timer_attach_interrupt(uint8 timer_num, uint8 compare_num, voidFuncPtr handler) {
    Timer *timer;
    ASSERT(timer_num > 0 && timer_num <= 4 && compare_num > 0 && compare_num <= 4);

    switch(timer_num) {
    case 1:
        timer = (Timer*)TIMER1_BASE;
        timer1_handlers[compare_num-1] = handler;
        nvic_irq_enable(NVIC_TIMER1);
        timer->DIER |= (1 << compare_num); // 1-indexed compare nums
        break;
    case 2:
        timer = (Timer*)TIMER2_BASE;
        timer2_handlers[compare_num-1] = handler;
        nvic_irq_enable(NVIC_TIMER2);
        timer->DIER |= (1 << compare_num); // 1-indexed compare nums
        break;
    case 3:
        timer = (Timer*)TIMER3_BASE;
        timer3_handlers[compare_num-1] = handler;
        nvic_irq_enable(NVIC_TIMER3);
        timer->DIER |= (1 << compare_num); // 1-indexed compare nums
        break;
    case 4:
        timer = (Timer*)TIMER4_BASE;
        timer4_handlers[compare_num-1] = handler;
        nvic_irq_enable(NVIC_TIMER4);
        timer->DIER |= (1 << compare_num); // 1-indexed compare nums
        break;
    }
}

void timer_detach_interrupt(uint8 timer_num, uint8 compare_num) {
    Timer *timer;
    ASSERT(timer_num > 0 && timer_num <= 4 && compare_num > 0 && compare_num <= 4);

    switch(timer_num) {
    case 1:
        timer = (Timer*)TIMER1_BASE;
        timer1_handlers[compare_num-1] = 0;
        timer->DIER &= ~(1 << compare_num); // 1-indexed compare nums
        break;
    case 2:
        timer = (Timer*)TIMER2_BASE;
        timer2_handlers[compare_num-1] = 0;
        timer->DIER &= ~(1 << compare_num); // 1-indexed compare nums
        break;
    case 3:
        timer = (Timer*)TIMER3_BASE;
        timer3_handlers[compare_num-1] = 0;
        timer->DIER &= ~(1 << compare_num); // 1-indexed compare nums
        break;
    case 4:
        timer = (Timer*)TIMER4_BASE;
        timer4_handlers[compare_num-1] = 0;
        timer->DIER &= ~(1 << compare_num); // 1-indexed compare nums
        break;
    }
}

// The following are the actual interrupt handlers; 1 for each timer which must
// determine which actual compare value (aka channel) was triggered.
//
// These ISRs get called when the timer interrupt is enabled, the timer is running, and
// the timer count equals any of the CCR registers /or/ has overflowed.
//
// This is a rather long implementation...
void TIM1_CC_IRQHandler(void) {
    Timer *timer = (Timer*)TIMER1_BASE;
    uint16 sr_buffer; 
    sr_buffer = timer->SR;

    // Simply switch/case-ing here doesn't work because multiple 
    // CC flags may be high. 
    if(sr_buffer & 0x10){ // CC4 flag
        timer->SR &= ~(0x10);
        if(timer1_handlers[3]) {
            timer1_handlers[3]();
        }
    }
    if(sr_buffer & 0x8){ // CC3 flag
        timer->SR &= ~(0x8);
        if(timer1_handlers[2]) {
            timer1_handlers[2]();
        }
    }
    if(sr_buffer & 0x4){ // CC2 flag
        timer->SR &= ~(0x4);
        if(timer1_handlers[1]) {
            timer1_handlers[1]();
        }
    }
    if(sr_buffer & 0x2){ // CC1 flag
        timer->SR &= ~(0x2);
        if(timer1_handlers[0]) {
            timer1_handlers[0]();
        }
    }
    if(sr_buffer & 0x1){ // Update flag
        timer->SR &= ~(0x1);
        //timer->EGR  = 1;
    }
}
void TIM2_IRQHandler(void) {
    // This is a rather long implementation...
    Timer *timer = (Timer*)TIMER2_BASE;
    uint16 sr_buffer; 
    sr_buffer = timer->SR;

    if(sr_buffer & 0x10){ // CC4 flag
        timer->SR &= ~(0x10);
        if(timer2_handlers[3]) {
            timer2_handlers[3]();
        }
    }
    if(sr_buffer & 0x8){ // CC3 flag
        timer->SR &= ~(0x8);
        if(timer2_handlers[2]) {
            timer2_handlers[2]();
        }
    }
    if(sr_buffer & 0x4){ // CC2 flag
        timer->SR &= ~(0x4);
        if(timer2_handlers[1]) {
            timer2_handlers[1]();
        }
    }
    if(sr_buffer & 0x2){ // CC1 flag
        timer->SR &= ~(0x2);
        if(timer2_handlers[0]) {
            timer2_handlers[0]();
        }
    }
    if(sr_buffer & 0x1){ // Update flag
        timer->SR &= ~(0x1);
        //timer->EGR  = 1;
    }
}
void TIM3_IRQHandler(void) {
    // This is a rather long implementation...
    Timer *timer = (Timer*)TIMER3_BASE;
    uint16 sr_buffer; 
    sr_buffer = timer->SR;

    if(sr_buffer & 0x10){ // CC4 flag
        timer->SR &= ~(0x10);
        if(timer3_handlers[3]) {
            timer3_handlers[3]();
        }
    }
    if(sr_buffer & 0x8){ // CC3 flag
        timer->SR &= ~(0x8);
        if(timer3_handlers[2]) {
            timer3_handlers[2]();
        }
    }
    if(sr_buffer & 0x4){ // CC2 flag
        timer->SR &= ~(0x4);
        if(timer3_handlers[1]) {
            timer3_handlers[1]();
        }
    }
    if(sr_buffer & 0x2){ // CC1 flag
        timer->SR &= ~(0x2);
        if(timer3_handlers[0]) {
            timer3_handlers[0]();
        }
    }
    if(sr_buffer & 0x1){ // Update flag
        timer->SR &= ~(0x1);
        //timer->EGR  = 1;
    }
}

void TIM4_IRQHandler(void) {
    // This is a rather long implementation...
    Timer *timer = (Timer*)TIMER4_BASE;
    uint16 sr_buffer; 
    sr_buffer = timer->SR;

    if(sr_buffer & 0x10){ // CC4 flag
        timer->SR &= ~(0x10);
        if(timer4_handlers[3]) {
            timer4_handlers[3]();
        }
    }
    if(sr_buffer & 0x8){ // CC3 flag
        timer->SR &= ~(0x8);
        if(timer4_handlers[2]) {
            timer4_handlers[2]();
        }
    }
    if(sr_buffer & 0x4){ // CC2 flag
        timer->SR &= ~(0x4);
        if(timer4_handlers[1]) {
            timer4_handlers[1]();
        }
    }
    if(sr_buffer & 0x2){ // CC1 flag
        timer->SR &= ~(0x2);
        if(timer4_handlers[0]) {
            timer4_handlers[0]();
        }
    }
    if(sr_buffer & 0x1){ // Update flag
        timer->SR &= ~(0x1);
        //timer->EGR  = 1;
    }
}