From 57b59731b712e8edb89e65de6f7b02555d0832d9 Mon Sep 17 00:00:00 2001 From: Marti Bolivar Date: Mon, 11 Apr 2011 19:00:32 -0400 Subject: Adding delay_us() TODO. --- libmaple/delay.h | 2 ++ 1 file changed, 2 insertions(+) (limited to 'libmaple') diff --git a/libmaple/delay.h b/libmaple/delay.h index e4d85c5..5372ac1 100644 --- a/libmaple/delay.h +++ b/libmaple/delay.h @@ -6,6 +6,8 @@ #define _DELAY_H_ static inline void delay_us(uint32 us) { + /* TODO this makes unwarranted assumptions about the RCC + * config; add a hook so users can make their own decisions. */ /* So (2^32)/12 micros max, or less than 6 minutes */ us *= 12; -- cgit v1.2.3