aboutsummaryrefslogtreecommitdiffstats
path: root/wirish/boards_private.h
Commit message (Expand)AuthorAgeFilesLines
* wirish: allow boards to override PLL input clockMarti Bolivar2014-11-241-0/+1
* wirish: allow boards to override more init() subroutinesMarti Bolivar2014-11-241-2/+10
* board_private.h: Add PMAP_ROW() convenience macro.Marti Bolivar2012-06-071-0/+4
* STM32F2: Add SYSCFG support.Marti Bolivar2012-06-031-0/+1
* Bring timer initialization back to init().Marti Bolivar2012-06-021-1/+0
* Resurrect ADC support.Marti Bolivar2012-04-111-2/+10
* [FIXME] Resurrect boards.cpp for F2 and F1.Marti Bolivar2012-04-111-0/+59