diff options
Diffstat (limited to 'package/libglib2/glibconfig-sysdefs.h')
-rw-r--r-- | package/libglib2/glibconfig-sysdefs.h | 6 |
1 files changed, 6 insertions, 0 deletions
diff --git a/package/libglib2/glibconfig-sysdefs.h b/package/libglib2/glibconfig-sysdefs.h new file mode 100644 index 000000000..1329e7f21 --- /dev/null +++ b/package/libglib2/glibconfig-sysdefs.h @@ -0,0 +1,6 @@ +#define GLIB_SYSDEF_POLLIN =1 +#define GLIB_SYSDEF_POLLOUT =4 +#define GLIB_SYSDEF_POLLPRI =2 +#define GLIB_SYSDEF_POLLERR =8 +#define GLIB_SYSDEF_POLLHUP =16 +#define GLIB_SYSDEF_POLLNVAL =32 |