verilog work ../hdl/project.v