From f51040dfc4f8581469997d43f1e723e0fedc1338 Mon Sep 17 00:00:00 2001 From: bryan newbold Date: Wed, 20 Mar 2013 13:30:12 -0400 Subject: fix 'main' in tb.v --- tb/tb.v | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'tb') diff --git a/tb/tb.v b/tb/tb.v index 19e07bf..ac63d61 100755 --- a/tb/tb.v +++ b/tb/tb.v @@ -15,7 +15,7 @@ reg [7:0] Switch_input; wire [7:0] LED_output; wire FPGA_RESET; -project project_i ( +main main_i ( .PUSH_BUTTON_RESET_RAW(FPGA_RESET), .LED_output_0(LED_output[0]), .LED_output_1(LED_output[1]), -- cgit v1.2.3