From 7a7511363ebf3f268fd44c8f10053c9b98293b18 Mon Sep 17 00:00:00 2001 From: bryan newbold Date: Fri, 4 Oct 2013 21:59:22 -0400 Subject: fix old tb/tb.v --- tb/tb.v | 21 ++++++--------------- 1 file changed, 6 insertions(+), 15 deletions(-) diff --git a/tb/tb.v b/tb/tb.v index ac63d61..d5ce452 100755 --- a/tb/tb.v +++ b/tb/tb.v @@ -11,31 +11,22 @@ initial begin end -reg [7:0] Switch_input; -wire [7:0] LED_output; +reg [3:0] Switch_input; +wire [3:0] LED_output; wire FPGA_RESET; main main_i ( .PUSH_BUTTON_RESET_RAW(FPGA_RESET), - .LED_output_0(LED_output[0]), - .LED_output_1(LED_output[1]), - .LED_output_2(LED_output[2]), - .LED_output_3(LED_output[3]), - .LED_output_4(LED_output[4]), - .LED_output_5(LED_output[5]), - .LED_output_6(LED_output[6]), .SYSTEMCLOCK(CLK100), - .Switch_input_0(Switch_input[0]), - .Switch_input_1(Switch_input[1]), - .Switch_input_2(Switch_input[2]), - .Switch_input_3(Switch_input[3]) + .gpio_led(LED_output), + .gpio_switch(Switch_input) ); initial begin - #0 Switch_input <= 8'h00; + #0 Switch_input <= 4'h00; $display("Switch set to zero"); - #1000000 Switch_input <= 8'h01; + #1000000 Switch_input <= 4'h01; $display("Switch set to one"); #2000000 $display("TEST COMPLETE"); -- cgit v1.2.3