From 3589de222db1820a48fcee63c9516e0347fac7c5 Mon Sep 17 00:00:00 2001 From: bryan newbold Date: Wed, 9 Oct 2013 00:30:42 -0400 Subject: refactor project -> exampleproj --- Makefile | 2 +- exampleproj.bmm | 1 + exampleproj.opt | 42 +++++++ project.bmm | 1 - project.opt | 42 ------- project.xise | 366 -------------------------------------------------------- 6 files changed, 44 insertions(+), 410 deletions(-) create mode 100644 exampleproj.bmm create mode 100644 exampleproj.opt delete mode 100644 project.bmm delete mode 100644 project.opt delete mode 100644 project.xise diff --git a/Makefile b/Makefile index 4665f8f..b0de5d6 100644 --- a/Makefile +++ b/Makefile @@ -1,6 +1,6 @@ # Edit project-specific variables in this file. -project := project +project := exampleproj top_module := main vendor := xilinx diff --git a/exampleproj.bmm b/exampleproj.bmm new file mode 100644 index 0000000..c915ec8 --- /dev/null +++ b/exampleproj.bmm @@ -0,0 +1 @@ +// Empty file; this is a "Block Ram Memory Map" diff --git a/exampleproj.opt b/exampleproj.opt new file mode 100644 index 0000000..7fe9d8b --- /dev/null +++ b/exampleproj.opt @@ -0,0 +1,42 @@ +-ifmt mixed +-ofmt NGC +-opt_mode speed +-opt_level 1 +-iuc NO +-keep_hierarchy no +-netlist_hierarchy as_optimized +-rtlview no +-glob_opt AllClockNets +-read_cores yes +-write_timing_constraints NO +-cross_clock_analysis NO +-hierarchy_separator / +-bus_delimiter <> +-case maintain +-slice_utilization_ratio 100 +-bram_utilization_ratio 100 +#-dsp_utilization_ratio 100 +-safe_implementation No +-fsm_extract YES +-fsm_encoding Auto +-fsm_style lut +-ram_extract Yes +-ram_style Auto +-rom_extract Yes +-rom_style Auto +-shreg_extract YES +-auto_bram_packing NO +-resource_sharing YES +-async_to_sync NO +#-use_dsp48 auto +-iobuf YES +-max_fanout 500 +-register_duplication YES +-register_balancing No +-optimize_primitives NO +-use_clock_enable Auto +-use_sync_set Auto +-use_sync_reset Auto +-iob auto +-equivalent_register_removal YES +-slice_utilization_ratio_maxmargin 5 diff --git a/project.bmm b/project.bmm deleted file mode 100644 index c915ec8..0000000 --- a/project.bmm +++ /dev/null @@ -1 +0,0 @@ -// Empty file; this is a "Block Ram Memory Map" diff --git a/project.opt b/project.opt deleted file mode 100644 index 7fe9d8b..0000000 --- a/project.opt +++ /dev/null @@ -1,42 +0,0 @@ --ifmt mixed --ofmt NGC --opt_mode speed --opt_level 1 --iuc NO --keep_hierarchy no --netlist_hierarchy as_optimized --rtlview no --glob_opt AllClockNets --read_cores yes --write_timing_constraints NO --cross_clock_analysis NO --hierarchy_separator / --bus_delimiter <> --case maintain --slice_utilization_ratio 100 --bram_utilization_ratio 100 -#-dsp_utilization_ratio 100 --safe_implementation No --fsm_extract YES --fsm_encoding Auto --fsm_style lut --ram_extract Yes --ram_style Auto --rom_extract Yes --rom_style Auto --shreg_extract YES --auto_bram_packing NO --resource_sharing YES --async_to_sync NO -#-use_dsp48 auto --iobuf YES --max_fanout 500 --register_duplication YES --register_balancing No --optimize_primitives NO --use_clock_enable Auto --use_sync_set Auto --use_sync_reset Auto --iob auto --equivalent_register_removal YES --slice_utilization_ratio_maxmargin 5 diff --git a/project.xise b/project.xise deleted file mode 100644 index 16da964..0000000 --- a/project.xise +++ /dev/null @@ -1,366 +0,0 @@ - - - -
- - - - - - - - -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-- cgit v1.2.3