aboutsummaryrefslogtreecommitdiffstats
path: root/examples/blinky.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'examples/blinky.cpp')
-rw-r--r--examples/blinky.cpp2
1 files changed, 1 insertions, 1 deletions
diff --git a/examples/blinky.cpp b/examples/blinky.cpp
index dd72514..fad71f8 100644
--- a/examples/blinky.cpp
+++ b/examples/blinky.cpp
@@ -1,6 +1,6 @@
// Blinks the built-in LED
-#include "wirish.h"
+#include <wirish/wirish.h>
void setup() {
pinMode(BOARD_LED_PIN, OUTPUT);