aboutsummaryrefslogtreecommitdiffstats
path: root/README
blob: f7c9069311337a6917fae1048cd67f1318257ad3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
A very basic project template for Verilog simulation and synthesis using Isim
and Xilinx tools. 

Build a bitstream (to upload to an FPGA, preconfigured as Spartan 6 chip in
Makefile) via:

    make

The toplevel ucf (constraints mapping netlist objects from the verilog
compilation to hardware resources, and place and routing and timing
constraints) is:

    ./project.ucf

The toplevel verilog module, which does nothing (just sets some pins to zero)
lives in:

    ./hdl/project.v

Add other verilog synthesis (not testbench) files to ./hdl/*.v

To edit the project with the ISE GUI, try:

    make ise

WARNING: the ISE configuration options may or may not be synchronized with the
command line build options. Edit the options in project.opt and build only from
the command line to be safe.

Simulate with isim via:

    make simulate

View the results using the isim GUI with:

    make isim

In isim, you can open the "signals.wcfg" in the file menu to reload a the logic
analyzer configuration. This cfg file will not be valid if you delete any
signals from your design that are saves in the wcfg.

./testbench/tb.v  is the toplevel testbench file for simulation.

Please improve and push!