CLK100 CLK100 FPGA_RESET FPGA_RESET Switch_input[3:0] Switch_input[3:0] LED_output[3:0] LED_output[3:0]