From 18c3d14cad3e41d82ec231e4f519dd12449c5633 Mon Sep 17 00:00:00 2001 From: bryan newbold Date: Thu, 6 Jun 2013 16:26:45 -0400 Subject: be more explicit about listing .v files --- Makefile | 3 +++ 1 file changed, 3 insertions(+) (limited to 'Makefile') diff --git a/Makefile b/Makefile index 4e33056..d479720 100644 --- a/Makefile +++ b/Makefile @@ -16,7 +16,10 @@ part = $(device)$(speedgrade)-$(device_package) hostbits = 64 iseenv= /opt/Xilinx/14.3/ISE_DS/ +# list all .v files explicitly with vfiles (no hdl/*.v business) vfiles = hdl/project.v + +# can only specify a single test bench file here (for now) tbfiles = tb/tb.v # list of .xco files, eg "cores/bram.xco". do not include DCM files. -- cgit v1.2.3